summaryrefslogtreecommitdiff
path: root/openpicc/application/ssc.c
Commit message (Collapse)AuthorAgeFilesLines
* Fix the clock gating for the new layer 2 codehenryk2008-03-151-7/+30
| | | | | | | Only perform the switch to continous when necessary since it has serious side effects in the current hardware (we really need TF ored into the clock gating) git-svn-id: https://svn.openpcd.org:2342/trunk@457 6dc7ffe9-61d6-0310-9af1-9938baff3ed1
* Faked anticollision working now. During stress test (OpenPCD reqa firmware ↵henryk2008-03-141-0/+2
| | | | | | in anticol mode performing one cycle every 70ms) there were 52 late frames in 15992. git-svn-id: https://svn.openpcd.org:2342/trunk@454 6dc7ffe9-61d6-0310-9af1-9938baff3ed1
* Better Outputhenryk2008-03-141-2/+3
| | | | git-svn-id: https://svn.openpcd.org:2342/trunk@453 6dc7ffe9-61d6-0310-9af1-9938baff3ed1
* Add T/C based receiver code with integrated miller decoderhenryk2008-03-141-17/+17
| | | | | | | | | Integrate T/C receiver into iso14443 layer 2a Add state field to iso14443_frame, rename ssc buffer state constants Sniffer seems to work with the new code git-svn-id: https://svn.openpcd.org:2342/trunk@452 6dc7ffe9-61d6-0310-9af1-9938baff3ed1
* Add forgotten sanity checkhenryk2008-03-131-0/+1
| | | | git-svn-id: https://svn.openpcd.org:2342/trunk@451 6dc7ffe9-61d6-0310-9af1-9938baff3ed1
* Remove SSC DMA Rx buffers that are not going to be used for now and take up ↵henryk2008-03-121-0/+7
| | | | | | precious RAM git-svn-id: https://svn.openpcd.org:2342/trunk@450 6dc7ffe9-61d6-0310-9af1-9938baff3ed1
* Fix sendinghenryk2008-03-091-20/+108
| | | | | | | | | | | Add Tx abort and SSC reset functionalities There is a bug workaround for a peculiarity in the SSC Tx: When it is set to START CONTINOUS while a transmission is in progress (started by START TF RISING EDGE, which is necessary for the correct timing) it will lose one (or two?) bits of sample data. The resetting of the start condition itself is necessary because the SSC will only transmit up to 64 bytes (32 bit times 16) per start condition Add performance counters for sent and received frames git-svn-id: https://svn.openpcd.org:2342/trunk@445 6dc7ffe9-61d6-0310-9af1-9938baff3ed1
* Port over the differential miller decoder from the sniffonly host toolhenryk2008-03-051-17/+4
| | | | | | | | Move clock switch to its own header file Specify default (and for non-clock switching capable hardware: single) clock source in hardware definitions git-svn-id: https://svn.openpcd.org:2342/trunk@443 6dc7ffe9-61d6-0310-9af1-9938baff3ed1
* Copy over the relevant changes from the sniffonly branch pending integrationhenryk2008-03-051-3/+0
| | | | git-svn-id: https://svn.openpcd.org:2342/trunk@442 6dc7ffe9-61d6-0310-9af1-9938baff3ed1
* Sending seems to be reliable now.henryk2008-03-031-4/+4
| | | | | | | Once in a while (about 1 in 20) there's an error condition that's not being recovered from, need to investigate git-svn-id: https://svn.openpcd.org:2342/trunk@438 6dc7ffe9-61d6-0310-9af1-9938baff3ed1
* Implement transmissionhenryk2008-03-031-18/+154
| | | | | | | | Implement a 'pretender' for PoC: Since Rx is not working properly we'll just pretend to have received something based on the approximate length. Clarify length calculations in machester encoder and remove obsolete test code git-svn-id: https://svn.openpcd.org:2342/trunk@437 6dc7ffe9-61d6-0310-9af1-9938baff3ed1
* New cleaned-up (and then messed up again) SSC codehenryk2008-02-291-0/+599
Better layering separation git-svn-id: https://svn.openpcd.org:2342/trunk@434 6dc7ffe9-61d6-0310-9af1-9938baff3ed1
personal git repositories of Harald Welte. Your mileage may vary